Added constrain file with VGA pins on PMOD1

This commit is contained in:
Jens True 2018-11-11 12:36:01 +01:00
parent 4ce52c4e2d
commit 7706c8b148

19
minized_vga.xdc Normal file

@ -0,0 +1,19 @@
#######################################################################
# MiniZed VGA pins
#######################################################################
set_property PACKAGE_PIN L15 [get_ports {PL_VGA_R[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_R[0]}]
set_property PACKAGE_PIN M15 [get_ports {PL_VGA_G[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_G[0]}]
set_property PACKAGE_PIN L14 [get_ports {PL_VGA_B[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_B[0]}]
set_property PACKAGE_PIN K13 [get_ports {PL_VGA_HSYNC[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_HSYNC[0]}]
set_property PACKAGE_PIN L13 [get_ports {PL_VGA_VSYNC[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_VSYNC[0]}]