diff --git a/minized_vga.xdc b/minized_vga.xdc new file mode 100644 index 0000000..6957622 --- /dev/null +++ b/minized_vga.xdc @@ -0,0 +1,19 @@ +####################################################################### +# MiniZed VGA pins +####################################################################### + + +set_property PACKAGE_PIN L15 [get_ports {PL_VGA_R[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_R[0]}] + +set_property PACKAGE_PIN M15 [get_ports {PL_VGA_G[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_G[0]}] + +set_property PACKAGE_PIN L14 [get_ports {PL_VGA_B[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_B[0]}] + +set_property PACKAGE_PIN K13 [get_ports {PL_VGA_HSYNC[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_HSYNC[0]}] + +set_property PACKAGE_PIN L13 [get_ports {PL_VGA_VSYNC[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_VSYNC[0]}] \ No newline at end of file