Cleaned up comments

This commit is contained in:
Jens True 2018-11-29 08:18:56 +01:00
parent 91f474c0e4
commit 74f167cfdd
2 changed files with 2 additions and 6 deletions

@ -13,7 +13,6 @@ module vga640x480(
output wire o_hs, // horizontal sync
output wire o_vs, // vertical sync
output wire o_active, // high during active pixel drawing
output wire o_animate, // high for one tick at end of active drawing
output wire [9:0] o_x, // current pixel x position
output wire [8:0] o_y // current pixel y position
);
@ -42,9 +41,6 @@ module vga640x480(
// active: high during active pixel drawing
assign o_active = ~((h_count < HA_STA) | (v_count > VA_END - 1));
// animate: high for one tick at the end of the final active pixel line
assign o_animate = ((v_count == VA_END - 1) & (h_count == LINE));
always @ (posedge i_pix_stb)
begin
if (~i_rst) // reset to start of frame- Reset is active low on AXI bus so here we intervert it

@ -22,7 +22,7 @@ module vgasquare(
wire [9:0] x; // current pixel x position: 10-bit value: 0-1023
wire [8:0] y; // current pixel y position: 9-bit value: 0-511
wire VGA_ACTIVE; // Internal signal
wire VGA_ACTIVE; // Internal signal, color signals need to be low when not actively drawing.
vga640x480 display (
.i_pix_stb(PIXEL_CLK),
.i_rst(RESET),