diff --git a/vga640x480.v b/vga640x480.v index b46b62b..8cb915d 100644 --- a/vga640x480.v +++ b/vga640x480.v @@ -13,7 +13,6 @@ module vga640x480( output wire o_hs, // horizontal sync output wire o_vs, // vertical sync output wire o_active, // high during active pixel drawing - output wire o_animate, // high for one tick at end of active drawing output wire [9:0] o_x, // current pixel x position output wire [8:0] o_y // current pixel y position ); @@ -42,9 +41,6 @@ module vga640x480( // active: high during active pixel drawing assign o_active = ~((h_count < HA_STA) | (v_count > VA_END - 1)); - // animate: high for one tick at the end of the final active pixel line - assign o_animate = ((v_count == VA_END - 1) & (h_count == LINE)); - always @ (posedge i_pix_stb) begin if (~i_rst) // reset to start of frame- Reset is active low on AXI bus so here we intervert it diff --git a/vgasquare.v b/vgasquare.v index fd1f55d..3587de2 100644 --- a/vgasquare.v +++ b/vgasquare.v @@ -22,7 +22,7 @@ module vgasquare( wire [9:0] x; // current pixel x position: 10-bit value: 0-1023 wire [8:0] y; // current pixel y position: 9-bit value: 0-511 - wire VGA_ACTIVE; // Internal signal + wire VGA_ACTIVE; // Internal signal, color signals need to be low when not actively drawing. vga640x480 display ( .i_pix_stb(PIXEL_CLK), .i_rst(RESET), @@ -39,7 +39,7 @@ module vgasquare( wire G = square ? box_color[1] : box_color[4]; wire B = square ? box_color[2] : box_color[5]; - assign VGA_R = VGA_ACTIVE ? R : 0; // Set R (Foreground and then background) + assign VGA_R = VGA_ACTIVE ? R : 0; // Set R (Foreground and then background) assign VGA_G = VGA_ACTIVE ? G : 0; // Set G assign VGA_B = VGA_ACTIVE ? B : 0; // Set B endmodule