vga640x480/minized_vga.xdc

20 lines
738 B
Plaintext
Raw Normal View History

#######################################################################
# MiniZed VGA pins
#######################################################################
2018-11-20 16:22:49 +00:00
set_property PACKAGE_PIN L15 [get_ports {PL_VGA_R}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_R}]
2018-11-20 16:22:49 +00:00
set_property PACKAGE_PIN M15 [get_ports {PL_VGA_G}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_G}]
2018-11-20 16:22:49 +00:00
set_property PACKAGE_PIN L14 [get_ports {PL_VGA_B}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_B}]
2018-11-20 16:22:49 +00:00
set_property PACKAGE_PIN K13 [get_ports {PL_VGA_HS}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_HS}]
2018-11-20 16:22:49 +00:00
set_property PACKAGE_PIN L13 [get_ports {PL_VGA_VS}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_VS}]