####################################################################### # MiniZed VGA pins ####################################################################### set_property PACKAGE_PIN L15 [get_ports {PL_VGA_R}] set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_R}] set_property PACKAGE_PIN M15 [get_ports {PL_VGA_G}] set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_G}] set_property PACKAGE_PIN L14 [get_ports {PL_VGA_B}] set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_B}] set_property PACKAGE_PIN K13 [get_ports {PL_VGA_HS}] set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_HS}] set_property PACKAGE_PIN L13 [get_ports {PL_VGA_VS}] set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_VS}]