From f65b8535e3d6ec7dcadf696560d676b3acaec001 Mon Sep 17 00:00:00 2001 From: Jens True Date: Mon, 19 Nov 2018 20:59:35 +0100 Subject: [PATCH] Fixed typo and renamed some signals --- overview.graphml | 57 +++++++++++++++++------------------------------- vgasquare.v | 10 ++++----- 2 files changed, 25 insertions(+), 42 deletions(-) diff --git a/overview.graphml b/overview.graphml index ac1cb7a..de00686 100644 --- a/overview.graphml +++ b/overview.graphml @@ -13,24 +13,22 @@ - + - - + - VGA interface + Screen - - + PS @@ -40,12 +38,11 @@ - - + PL @@ -69,10 +66,9 @@ - - + vgasquare @@ -81,10 +77,9 @@ - - + vga640x480 @@ -93,10 +88,9 @@ - - + simplevga_v1_0_S00_AXI_inst @@ -107,7 +101,6 @@ - @@ -117,39 +110,18 @@ - - - - - - - - - - - - - - - - - - - - - + VGA - @@ -160,6 +132,17 @@ + + + + + + + + + + + diff --git a/vgasquare.v b/vgasquare.v index 9c05296..0e90f52 100644 --- a/vgasquare.v +++ b/vgasquare.v @@ -14,8 +14,8 @@ module vgasquare( input wire [8:0] box_y1, input wire [8:0] box_y2, input wire [5:0] box_color, //1 bit for each color Foreground and background - output wire VGA_HS_O, // horizontal sync output - output wire VGA_VS_O, // vertical sync output + output wire VGA_HS, // horizontal sync output + output wire VGA_VS, // vertical sync output output wire VGA_R, // 1-bit VGA red output output wire VGA_G, // 1-bit VGA green output output wire VGA_B // 1-bit VGA blue output @@ -30,15 +30,15 @@ module vgasquare( .i_clk(CLK), .i_pix_stb(PIXEL_CLK), .i_rst(rst), - .o_hs(VGA_HS_O), - .o_vs(VGA_VS_O), + .o_hs(VGA_HS), + .o_vs(VGA_VS), .o_x(x), .o_y(y) ); // Draw one square wire square; - assign square = ((x > box_x1) & (y > box_y1) & (x < box_x2) & (y < box_y1)) ? 1 : 0; //Is box within range? + assign square = ((x > box_x1) & (y > box_y1) & (x < box_x2) & (y < box_y2)) ? 1 : 0; //Is box within range? assign VGA_R = square ? box_color[0] : box_color[3]; // Set R (Foreground and then background)