From ce4abe0a1dbb60a8f7222304cd60630d772efe20 Mon Sep 17 00:00:00 2001 From: Jens True Date: Mon, 26 Nov 2018 15:12:41 +0100 Subject: [PATCH] Added VGA_ACTIVE signal --- simplevga.v | 2 -- vgasquare.v | 3 +-- vgasquare_tb.v | 3 +-- 3 files changed, 2 insertions(+), 6 deletions(-) diff --git a/simplevga.v b/simplevga.v index 28ffcf8..a9d42a0 100644 --- a/simplevga.v +++ b/simplevga.v @@ -16,7 +16,6 @@ ( // Users to add ports here input wire I_PIXEL_CLK, - output wire O_VGA_ACTIVE, //High when drawing is active output wire O_VGA_HS, // horizontal sync output output wire O_VGA_VS, // vertical sync output output wire O_VGA_R, // 1-bit VGA red output @@ -98,7 +97,6 @@ .box_y1(box_y1), .box_y2(box_y2), .box_color(box_color), //1 bit for each color Foreground and background - .VGA_ACTIVE(O_VGA_ACTIVE), .VGA_HS(O_VGA_HS), // horizontal sync output .VGA_VS(O_VGA_VS), // vertical sync output .VGA_R(O_VGA_R), // 1-bit VGA red output diff --git a/vgasquare.v b/vgasquare.v index 905beca..653f029 100644 --- a/vgasquare.v +++ b/vgasquare.v @@ -13,7 +13,6 @@ module vgasquare( input wire [8:0] box_y1, input wire [8:0] box_y2, input wire [5:0] box_color, //1 bit for each color Foreground and background - output wire VGA_ACTIVE, //High when drawing is active output wire VGA_HS, // horizontal sync output output wire VGA_VS, // vertical sync output output wire VGA_R, // 1-bit VGA red output @@ -25,7 +24,7 @@ module vgasquare( wire [9:0] x; // current pixel x position: 10-bit value: 0-1023 wire [8:0] y; // current pixel y position: 9-bit value: 0-511 - + wire VGA_ACTIVE; vga640x480 display ( .i_pix_stb(PIXEL_CLK), .i_rst(rst), diff --git a/vgasquare_tb.v b/vgasquare_tb.v index f4ac64f..ebc5cd8 100644 --- a/vgasquare_tb.v +++ b/vgasquare_tb.v @@ -5,7 +5,7 @@ module vgasquare_tb; reg reset = 1; initial begin $dumpfile("vgasquare_tb.vcd"); - $dumpvars;//(pixel_clk, pixel_clk, O_VGA_ACTIVE,O_VGA_HS, O_VGA_VS); + $dumpvars; #16800000 $finish; end @@ -26,7 +26,6 @@ module vgasquare_tb; .box_y1(9'd1), .box_y2(9'd2), .box_color(6'b100001), //1 bit for each color Foreground and background - .VGA_ACTIVE(O_VGA_ACTIVE), .VGA_HS(O_VGA_HS), // horizontal sync output .VGA_VS(O_VGA_VS), // vertical sync output .VGA_R(O_VGA_R), // 1-bit VGA red output