Additional comments

This commit is contained in:
Jens True 2018-11-29 12:35:20 +01:00
parent 74f167cfdd
commit 1898f5bffc

@ -12,7 +12,7 @@ module vgasquare(
input wire [9:0] box_x2, input wire [9:0] box_x2,
input wire [8:0] box_y1, input wire [8:0] box_y1,
input wire [8:0] box_y2, input wire [8:0] box_y2,
input wire [5:0] box_color, //1 bit for each color Foreground and background input wire [5:0] box_color, // 1 bit for each color RGB Foreground (bit 0,1 and 2) and background (bit 3,4 and 5)
output wire VGA_HS, // horizontal sync output output wire VGA_HS, // horizontal sync output
output wire VGA_VS, // vertical sync output output wire VGA_VS, // vertical sync output
output wire VGA_R, // 1-bit VGA red output output wire VGA_R, // 1-bit VGA red output