diff --git a/minized_vga.xdc b/minized_vga.xdc index 6de71c2..cc38a53 100644 --- a/minized_vga.xdc +++ b/minized_vga.xdc @@ -17,6 +17,3 @@ set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_HS}] set_property PACKAGE_PIN L13 [get_ports {PL_VGA_VS}] set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_VS}] - -set_property PACKAGE_PIN N13 [get_ports {PL_VGA_ACTIVE}] -set_property IOSTANDARD LVCMOS33 [get_ports {PL_VGA_ACTIVE}] \ No newline at end of file